Welcome![Sign In][Sign Up]
Location:
Search - traffic controller

Search list

[VHDL-FPGA-VerilogTrafficLights_VHDL

Description: 交通灯信号控制器,VHDL语言编写,已实验通过,具体见RAR注释-traffic signal controller, VHDL language, experiment, see specific RAR Notes
Platform: | Size: 4096 | Author: 小花猫 | Hits:

[Otherjtdcz

Description: 交通灯控制器的程序,程序不是太复杂,我自己编的,没有错误-traffic light controller procedures that are not too complex, I developed, and no mistake
Platform: | Size: 1024 | Author: djj | Hits:

[SCMIIC

Description: 一个以前开发的实际应用的交通灯控制器程序,使用sst89e58单片机,供参考。-A previously developed the practical application of the traffic light controller, the use of sst89e58 microcontroller, for reference.
Platform: | Size: 134144 | Author: 李可辉 | Hits:

[VHDL-FPGA-Verilogdivider

Description: 介绍了除法器的设计,采用verilogHDL语言,利用modelsim仿真验证,压缩包中包含了流程图-Introduced the divider design, using verilogHDL language, the use of ModelSim simulation, compressed package that contains a flow chart
Platform: | Size: 83968 | Author: yaoyongshi | Hits:

[Windows DevelopTrafficLight

Description: 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green, yellow three-color lights, red light curfew, green permit passage to a yellow light while driving vehicles parked in the ban have time outside the lane.
Platform: | Size: 282624 | Author: zhuzi200803 | Hits:

[Software Engineeringjiaotongdeng

Description: 十字路口交通灯控制器设计报告,写得非常好,很有参考价值-Crossroads traffic lights controller design report, written very good, useful reference
Platform: | Size: 145408 | Author: 郭海东 | Hits:

[assembly languagetraffic_lights

Description: 用8086汇编语言实现的交通灯仿真程序,emu8086写的-Using 8086 assembly language to achieve the traffic light simulation program, emu8086 written
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-Verilogtraffic_control

Description: 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.tbw,在Process View中双击“Simulation Behavioral Model”选项,进行行为仿真,即可得到仿真结果。-Software development environment: ISE 7.1i simulation environment: ISE Simulator1. Realize this instance through the ISE Simulator tool to achieve a total of eight lights in both directions of traffic lights controller 2. Works project folder, double-click traffic.ise Open the project document 3. rtl source file in the folder, traffic.v for design documents, traffic_tb.tbw is the simulation waveform files 4. to open a project, the project browser, select traffic_tb.tbw, in the Process View in the double hit
Platform: | Size: 248832 | Author: 李华 | Hits:

[Otheromronprogram

Description: omron PLC的编程实例。可编程控制器控制led一秒闪烁,红绿灯程序,可编程控制器实现算数平均值等-omron PLC programming examples. Programmable controller to control the second led flashing traffic light program, programmable controller, such as arithmetic average
Platform: | Size: 47104 | Author: 林风 | Hits:

[VHDL-FPGA-Verilogfpga-pulse_sequence

Description: pulse_sequence.vhd 并行脉冲控制器 light.vhd.vhd 交通脉冲控制器 division1.vhd 电压脉冲控制器中的分频 ad.vhd 电压脉冲控制器中的A/D控制 code.vhd 电压脉冲控制器中的脉冲运算模块 voltage2.bdf 电压脉冲控制系统-pulse_sequence.vhd pulse controller parallel light.vhd.vhd traffic controller division1.vhd pulse voltage pulse controller ad.vhd sub-frequency voltage pulse controller A/D control code.vhd voltage pulse of the pulse controller computing module voltage2.bdf voltage pulse control system
Platform: | Size: 6144 | Author: libing | Hits:

[Windows DevelopDCS400

Description: 数据采集C++源程序: 使用标准MODBUS协议,实现与流量控制器的通讯,并实时显示工业现场的参数数值、曲线及报表打印。Visual C++ 6.0下编译。该软件已在某公司的多个客户中使用多年,是一个完全实用的软件。-Data Acquisition C++ Source: Use the standard MODBUS protocol, with the traffic controller communications, and industrial-site real-time display of parameters values, curves and print statements. Visual C++ 6.0 compiler under. The software has been a company to use multiple clients for many years, is a fully functional software.
Platform: | Size: 1952768 | Author: 陈一波 | Hits:

[OtherCONTROLLER

Description: NEW!! 交通灯实验报告 全面-NEW! ! Experimental report provides a comprehensive traffic lights
Platform: | Size: 321536 | Author: 花无缺 | Hits:

[VHDL-FPGA-Verilog1

Description: 根据交通灯控制器的功能与要求,将其总体电路分为分频器、信号控制器两个模块。-According to the traffic light controller functions and the requirements of the overall circuit is divided into its divider, the signal controller two modules.
Platform: | Size: 4096 | Author: li | Hits:

[assembly languageTrafficLight

Description: ⒈ 了解交通灯管理的基本工作原理 ⒉ 熟悉8259A中断控制器的工作原理和应用编程 ⒊ 熟悉8255A并行接口的各种工作方式和应用 ⒋ 熟悉8253计数器/定时器的工作方式及应用编程,掌握利用软硬件相结合定时的方法 -⒈ understand traffic lights management familiar with the basic working principle of ⒉ 8259A interrupt controller of the working principle and application programming 8255A ⒊ familiar parallel interface various working methods and applications ⒋ familiar with the 8253 counter/timer of the working methods and application programming, master regular use of a combination of hardware and software approach
Platform: | Size: 8192 | Author: 谢家韧 | Hits:

[SCMjiaotongdeng

Description: 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。-The system uses the MSC-51 Series MCU ATSC51 and programmable parallel I/O interface chip 8255A-centric devices to design the traffic light controller, which can realize the basis of actual traffic flow through the P1 port 8051 chip set red, green fuel Liang time function traffic lights lit cycle, the countdown five seconds left when the yellow light flashing warning (traffic lights signal through the PA output, indicating the time directly through the PC port output 8255 to double-digit digital tube) vehicles闯红灯alarm green time can detect the flow through a double-digital tube display.
Platform: | Size: 131072 | Author: eee | Hits:

[SCMTRAFFIC

Description: 使用C51编译器的交通信号灯控制器,c51源程序-Using C51 compiler traffic signal controller, c51 source
Platform: | Size: 3072 | Author: zzbtczhw | Hits:

[VHDL-FPGA-VerilogDesign_of_Traffic_Light_Controller_Based_on_VHDL.r

Description: :传统的交通灯控制器多数由单片机或PLC来实现,文中介绍了基于VHDL硬件描述语言进行交通灯控制 器设计的一般思路和方法。选择XIL INX公司低功耗、低成本、高性能的FPGA芯片,采用ISE5. X和MODELSIM SE 6. 0开发工具进行了程序的编译和功能仿真。最后给出了交通灯控制器的部分VHDL源程序和仿真结果,仿 真结果表明该系统的设计方案正确。-Traffic light controller is usually developed bymicro p rocessor or PLC. This paper introduces the gen2 eral design methods of traffic light controller base on VHDL ( hardware descrip tion language). the FPGA chip of XIL2 INX Corporation was chosen with the low power loss, the low cost and the high performance, the XIL INX ISE5. X andMODELSIM 6. 0 development toolswas used to comp ile and stimulate. Finally, The VHDL source p rogrammer and simulating results of traffic light controller are given. The simulating results show that the design method is cor2 rect
Platform: | Size: 434176 | Author: li | Hits:

[VHDL-FPGA-VerilogDesign_of_Traffic_Light_Control_System_Base_on_FPG

Description: 用VHDL 语言设计交通灯控制系统, 并在MAX+PLUS II 系统对FPGA/ CPLD 芯片进行下载, 由于生成的是集成化的数字电 路, 没有传统设计中的接线问题, 所以故障率低、可靠性高, 而且体积小。体现了EDA 技术在数字电路设计中的优越性。-The design method of traffic light control system by using Very- High- Speed Integrated Circuit Hardware Description Language (VHDL) is introduced, and the downloading of the controller design to the FPGA/ CPLD chip in MAX+PLUS II is fulfilled. As FPGA/ CPLD chips are based on large scale IC and there are no connection problems in the presented circuit, so the chips are re1iable and faults are less prone to happen, which shows the advantages of the EDA technology in digital circuits design.
Platform: | Size: 72704 | Author: li | Hits:

[Software Engineeringjiaotongdeng

Description: 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 -The system uses the MSC-51 Series MCU ATSC51 and programmable parallel I/O interface chip 8255A-centric devices to design the traffic light controller, which can realize the basis of actual traffic flow through the P1 port 8051 chip set red, green fuel Liang time function traffic lights lit cycle, the countdown five seconds left when the yellow light flashing warning (traffic lights signal through the PA output, indicating the time directly through the PC port output 8255 to double-digit digital tube) vehicles闯红灯alarm green time can detect the flow through a double-digital tube display. The system practical, simple operation, the expansion of strong functions.
Platform: | Size: 131072 | Author: ljj | Hits:

[Otherdistributed

Description: matlab 仿真程序可用于kalman滤波应用。- INTRODUCTION This example simulates distributed control of a DC-servo. The example contains four computer nodes, each represented by a TrueTime kernel block. A time-driven sensor node samples the process periodically and sends the samples over the network to the controller node. The control task in this node calculates the control signal and sends the result to the actuator node, where it is subsequently actuated. The simulation also involves an interfering node sending disturbing traffic over the network, and a disturbing high-priority task executing in the controller node
Platform: | Size: 21504 | Author: zhangxiangbin | Hits:
« 1 2 34 5 6 7 8 9 10 ... 15 »

CodeBus www.codebus.net